[Project show case] For my senior design I created an open-source logic analyzer in Verilog and a GUI for it too in Python. Hopefully some of you might find it mildly interesting or useful.

I've tested it on Lattice, Altera, and Xilinx at a clock frequency of 16 MHz, 50 MHz and 100 MHZ respectively. With any where from 8-24 input channels and a memory buffer with 1024-8192 rows. It was a fun project and I learned a lot. Uses roughly 230 flip-flops. The thing I like the most is I was able to get the data sampled and saved into the buffer on very clock cycle (at the full speed of the FPGA) rather than doing it every other clock cycle or something. I didn't do any data compression nor can it do a continuous mode, in case anyone was wondering. Can work as an internal or external logic analyzer. The GUI doesn't do protocol decoding but will be something I add this summer. Enjoy!

Here is a link to the repo if you want to check it out or read the README or see a picture of the GUI.

lekgolo167/enxor-logic-analyzer: FPGA Logic Analyzer and GUI (github.com)

πŸ‘︎ 75
πŸ’¬︎
πŸ‘€︎ u/Lekgolo167
πŸ“…︎ May 06 2021
🚨︎ report
Looking For Open Source Verilog Accelerators

Basically the title,

I am a computer engineering student and I'm looking to improve myself in the acceleration field of FPGA's. I have used Vivado HLS with Zedboard until now and I want to be able to design and make accelerators using Verilog as well. I have completed a course at my university already. I feel like I lack confidence and efficiency because I'm not that familiar with the programming / design styles of bigger projects.

I already googled and found some of the GitHub repos that popup, but I wanted to ask you guys and take recommendations on which sources I could improve myself further on Verilog.

Thanks for all the help.

Edit: I felt like you guys might ask, ok so you are a beginner and what do you wanna accelerate? My work on Vivado HLS was about accelerating the multiplication of matrices (single-precision floating-point numbers) that won't fit my on-chip memory. Designing something similar with better performance is my current long term education goal.

πŸ‘︎ 27
πŸ’¬︎
πŸ‘€︎ u/DogeRoss
πŸ“…︎ Sep 11 2020
🚨︎ report
What is the lowest pincount device (cpld,fpga) that can be programed in verilog with open-source/linux tools - icestorm, yosys, arachne etc, to replace 74HCx.

A generic, deployable solution in order to replace a few (2-6) 74HCxx ICs.

Prefer (not critical) - qfp rather than qfn or bga, for easier working.

Prefer (not critical) NVCM for robustness/ single ic solution. 3.3V (or higher) tolerant.

Perhaps with 10-16 (or fewer) gpio that could (ideally) support a two-layer pcb fanout.

Does anything like this exist?

πŸ‘︎ 28
πŸ’¬︎
πŸ‘€︎ u/_happyforyou_
πŸ“…︎ Oct 15 2020
🚨︎ report
Does anyone know of an open-source and lightweight code (verilog) to block diagram converter?

I was curious if there was some simple, lightweight and free tool that helps in visualising large modules quickly, just like the schematic viewer in vivado. I'm not looking for any heavy functionality but it's a pain to fire up vivado or quatrus every time I start working on a new module that I need to understand.

πŸ‘︎ 20
πŸ’¬︎
πŸ“…︎ Jun 01 2020
🚨︎ report
Google Verilog Intern Interview Questions

Has anyone done a Hardware Engineering Intern interview for Verilog, SystemVerilog, FPGA architecture, Tcl? What were some of the questions you were asked? Does anyone have any tips for my first round interview?

πŸ‘︎ 7
πŸ’¬︎
πŸ“…︎ Mar 06 2021
🚨︎ report
In verilog, how can I control two modules/fsm - so that only one is active at a time?

Some inputs and outputs are shared between the two fsm.

One approach might be similar to the way a reset wire can be used in a module.

Ie. a creset wire can be tested in the always@( posedge clk) block, to force all internal state and outputs to their reset state .

Similarly, if one had a !is_active wire, then it could be tested in the always@ block to suspend all actions.

Is this feasible? Is there a better way?

Edit,

Here's an example, and verilog complains about led having multiple drivers - even though the arbitration control should prevent that. So it looks like it's not possible/ not the right approach.

module my_fsm (
  input  clk,
  input  active ,
  input wire [ 31 - 1 : 0 ] freq ,
  output led
);
  reg [31:0]  clk_count ;
  always @(posedge clk)
    if(active)
      begin
        clk_count <= clk_count + 1;
        if(clk_count > freq)
          begin
            clk_count <= 0;
            led <= ~ led;
          end
      end
endmodule


module top (
  input  clk,
  output LED_R,
  input ARB,
);

  wire arbitration = ARB ;

  my_fsm fsm1 (
    . clk(clk),
    . active( arbitration) ,
    . freq( 1),
    . led( LED_R )
  );

  my_fsm fsm2 (
    . clk(clk),
    . active( ~ arbitration) ,
    . freq( 3),
    . led( LED_R )
  );

endmodule

So I think the preferred approach is to mux the outputs of the two fsms. And perhaps also hold the 'unused' fsm in a state of reset, if it is desirable not to use resources.

πŸ‘︎ 7
πŸ’¬︎
πŸ‘€︎ u/_happyforyou_
πŸ“…︎ Jan 19 2022
🚨︎ report
Open-source Capcom System 1 arcade compatible Verilog FPGA core by jotego github.com/jotego/jtcps1
πŸ‘︎ 48
πŸ’¬︎
πŸ“…︎ May 22 2020
🚨︎ report
Overseas, Sceam opened this weekend to $18M from 50 markets, for a global launch of $48.6M. Top international debuts were UK $3.4M, France $1.8M, Australia $1.5M & Russia $1.3M. Japan & Korea still to open. Budgeted at $24M, new Scream should finish with over $125M worldwide. twitter.com/GiteshPandya/…
πŸ‘︎ 55
πŸ’¬︎
πŸ‘€︎ u/chanma50
πŸ“…︎ Jan 16 2022
🚨︎ report
Open source Verilog UDP/IP Ethernet stack updated to support 25 Gbps

I just added example designs to my open source Verilog UDP/IP Ethernet stack to demonstrate functionality at 25 Gbps line rate on the Alpha Data ADM-PCIE-9V3 and Xilinx VCU118 boards (both Virtex Ultrascale Plus, the design should port easily to any Virtex Ultrascale Plus board). No tricks; the whole stack runs with a 64 bit datapath in the 390.625 MHz serdes transmit clock domain, fully open source from deserializer to serializer, including UDP, IP, ARP, MAC, and PHY. Currently only closes timing on ultrascale plus parts; some more optimization will be required for operation on ultrascale parts. The changes required for 25G operation have not changed the latency at all and they have not significantly changed the utilization, so the changes will also serve to make timing closure at 10G easier. The only real difference between 10G and 25G is the GTY transceiver configuration, so it should be possible to make it runtime switchable between 10G and 25G, though I have yet to look in to that in detail.

Take a look at the code here: https://github.com/alexforencich/verilog-ethernet

Edit: The PHY portion of this code currently does not support auto negotiation, BASE-R FEC, or RS-FEC. If you need any of those features, you'll have to use some other PCS/PMA and/or MAC IP core. But the included MAC supports standard XGMII/25GMII so can be used with any 10G or 25G PCS/PMA core, and the MAC interface is AXI stream so the included MAC could be swapped out for any MAC with an AXI stream interface.

πŸ‘︎ 60
πŸ’¬︎
πŸ‘€︎ u/alexforencich
πŸ“…︎ Jun 20 2019
🚨︎ report
open source verilog simulator

hi verilator seems the best open source verilog simulation tool. How far it is from commercial active-hdl? what thing it cant do but active-hdl can? i am going to build a risc-v core, which is a hobby project, still thinking i should buy active-hdl or not.

thanks Peter

πŸ‘︎ 5
πŸ’¬︎
πŸ‘€︎ u/quantrpeter
πŸ“…︎ Jan 29 2020
🚨︎ report
[Francys Romero] Sources: The Baltimore Orioles are the favorites to sign Cuban INF Cesar Prieto (22) when a new international signing period opens January 15. Although the full amount has not yet been defined, it should be around $ 750,000. twitter.com/francysromero…
πŸ‘︎ 123
πŸ’¬︎
πŸ‘€︎ u/B-More_Orange
πŸ“…︎ Jan 08 2022
🚨︎ report
Which are the best books for learning synthesis in verilog or VHDL for a beginner?
πŸ‘︎ 5
πŸ’¬︎
πŸ‘€︎ u/SorenKirk
πŸ“…︎ Jan 05 2022
🚨︎ report
Jonas Salk never patented the polio vaccine creating an open source for it to be affordable worldwide so polio would be eradicated. Did covid vaccine manufacturers ever offer to open source their recipes? Wouldn’t that help w/ international distribution issues? Tell me it's not for the money...

Jonas Salk never patented the polio vaccine creating an open source for it to be affordable worldwide so polio would be eradicated. Did covid vaccine manufacturers ever offer to open source their recipes? Wouldn’t that help w/ international distribution issues? Tell me it's not for the money...

πŸ‘︎ 95
πŸ’¬︎
πŸ‘€︎ u/AroundMyCity
πŸ“…︎ Jan 04 2022
🚨︎ report
China opens embassy in Nicaragua for first time since 1990 after Taiwan ties cut. Nicaragua’s move to cut ties with Taiwan increased the island’s diplomatic isolation on the international stage. theguardian.com/world/202…
πŸ‘︎ 111
πŸ’¬︎
πŸ‘€︎ u/Quicklearn38
πŸ“…︎ Jan 01 2022
🚨︎ report
Running Verilated Verilog on a microcontroller

I've recently started playing with Verilog and Verilator. I think most of you around here already know about Verilator, it takes Verilog and compiles it into a cycle-accurate C++ model for simulating it. I don't have a real FPGA yet, but I wanted to somehow make the simulation interact with real hardware (LEDs, buttons and switches) until I can get my hands on an actual FPGA. So I took an STM32 board and tried compiling a Verilated blink model for it, and it works! I even tried it on a tiny 8-pin STM32G031J6. It kinda feels weird doing all of this, and it doesn't make any sense for real-world applications, but I feel like it could be quite a valuable tool for experimenting in situations where costs should be kept at an absolute minimum.

I've flared this as "Meme Friday" because I find it oddly amusing. I'm calling it FakePGA.

πŸ‘︎ 49
πŸ’¬︎
πŸ‘€︎ u/duinomaster
πŸ“…︎ Dec 03 2021
🚨︎ report
nVidia open sources (!) deep learning processor hardware (Verilog and RTL) nvdla.org
πŸ‘︎ 105
πŸ’¬︎
πŸ“…︎ Sep 26 2017
🚨︎ report
Cascade -- Open-Source Just-In-Time Compiler for Verilog github.com/vmware/cascade
πŸ‘︎ 35
πŸ’¬︎
πŸ“…︎ Nov 12 2018
🚨︎ report
[News] nVidia open sources (!) deep learning processor hardware (RTL and Verilog) nvdla.org
πŸ‘︎ 36
πŸ’¬︎
πŸ“…︎ Sep 26 2017
🚨︎ report
Account #69, International ape. 1 to open, more to come. Kenny can’t get to me lucky charms! πŸ’ŽπŸ¦πŸš€ reddit.com/gallery/r48bt5
πŸ‘︎ 1k
πŸ’¬︎
πŸ‘€︎ u/PurpGanja
πŸ“…︎ Nov 28 2021
🚨︎ report
Here my resume guys . Open to any feedbacks . I also want to precise that I am an international student . Thanks in advance reddit.com/gallery/r1hz4p
πŸ‘︎ 73
πŸ’¬︎
πŸ‘€︎ u/Big_Hearing6536
πŸ“…︎ Nov 24 2021
🚨︎ report
How to simulate Verilog designs REALLY quickly ?

Good morning everyone.

For an educational project in cooperation with a supervising professor at my university, I am developping a backend simulator (linked to a React frontend webapplication) to allow students to simulate really simple and customized CPU architectures.

CPU architectures are written in Verilog. I am trying to be able to simulate those architecture REALLY fast because the webapplication has to be quite reactive and nice to use.

The main idea:

User write Assembly program for CPU on webapplication -> Assembly program is sent to backend server -> Server transform assembly program into instructions readable by CPU -> A simulator runs said CPU architecture and returns results to webapplication

As for now, we were using Chisel3 but it is not fast enough, and we are getting into more complicated designs (surch as RISC V). The webapp is slowly becoming unresponsive and unusable.

So, I am looking for a way to simulate my designs really fast, with "poking" some inputs of my CPU and "peeking" the results. Lets say I want to obtain results from simulation in under 3 to 4 seconds.

I heard about Verilator but it is quite something to learn and I am not sure if its the proper tool for my needs. I have looked into cocotb, but it does not work really well on my side. Could you guys recommend me anything ?

Thank you very much

πŸ‘︎ 13
πŸ’¬︎
πŸ‘€︎ u/DoYouEvenFPGABro
πŸ“…︎ Nov 07 2021
🚨︎ report
Cynth: a simple open-source C-to-Verilog compiler github.com/cseed/cynth
πŸ‘︎ 28
πŸ’¬︎
πŸ‘€︎ u/mian2zi3
πŸ“…︎ Apr 16 2017
🚨︎ report
Verilog Internal Contention

Is it possible to have internal contention (not interfacing with the I/O blocks) on an FPGA, and if so could this damage the FPGA?

I am modeling a register file I build on a breadboard a while back. For simplicity lets say the register file has four registers all output on the same bus with some logic controlling the output enables of each of the registers. How exactly could this be done in Verilog in a way that is synthesizable. Specially, is it possible/correct to have each register share the same output bus and have them all output Z to the bus except for the one that is enabled?

I know that high impedance typically doesn't exist on an FPGA (at least internally), so is it correct to assume the synthesis tools will just conjure up some multiplexing logic to maintain proper functionality?

πŸ‘︎ 9
πŸ’¬︎
πŸ‘€︎ u/Technecure
πŸ“…︎ Dec 27 2019
🚨︎ report
Help - I need a new I-20 because of the delayed start date, but the Office of International Affairs will only re-open on the 3rd of Janurary.

Hi all, I'm an international student that had to do the fall quarter remotely due to visa issues. I was supposed to arrive on campus for the first time on the 1st of Janurary. This is reflected in my I-20 which lists the 3rd of Janurary as my start date.

I would like to arrive on campus on the 20th of Janurary but I require a new I-20 to do so because entrance to the states after the listed I-20 start date is not guaranteed by the CBP.

The problem is that the OIA took more than 3 weeks to process my previous application for a new I-20 with a deferred start date. So, I'm worried that if I request for a new I-20 after the OIA reopens, I would not be issued a new I-20 by the 20th of Janurary.

Do any of you know who I should contact about this? I'm kinda worried about it and would not like to risk missing another quarter. Alternatively, should I just apply for early arrival through the housing portal and arrive on the 3rd of Janurary?

πŸ‘︎ 24
πŸ’¬︎
πŸ‘€︎ u/waffletrager
πŸ“…︎ Dec 24 2021
🚨︎ report
[REQUEST] Movies similar to John Wick and law abiding citizen (Open to foreign/international films)

Please suggest films where the lone hero 'out smarts' the system for revenge.

πŸ‘︎ 5
πŸ’¬︎
πŸ‘€︎ u/omgdeva
πŸ“…︎ Dec 20 2021
🚨︎ report
Denver International Airport Closing East Economy Parking Lot In Order To Open Pikes Peak Shuttle Lot denver.cbslocal.com/2021/…
πŸ‘︎ 155
πŸ’¬︎
πŸ‘€︎ u/Trivia_Hawk
πŸ“…︎ Nov 13 2021
🚨︎ report
Yosys+nextpnr: an Open Source Framework from Verilog to Bitstream for Commercial FPGAs arxiv.org/abs/1903.10407
πŸ‘︎ 3
πŸ’¬︎
πŸ‘€︎ u/Chris_Gammell
πŸ“…︎ May 16 2019
🚨︎ report
Breaking: Australia's Dept. of Immigration has once again cancelled Novak Djokovic's visa throwing his participation in the Australian Open into doubt. His lawyers have vowed to appeal. Total clown show. Australia once again becomes an international laughingstock.
πŸ‘︎ 9
πŸ’¬︎
πŸ‘€︎ u/ClassicSoulboy
πŸ“…︎ Jan 14 2022
🚨︎ report
Verilog sources for Western Digital's open source RISC-V core github.com/westerndigital…
πŸ‘︎ 3
πŸ’¬︎
πŸ‘€︎ u/qznc_bot
πŸ“…︎ Jan 27 2019
🚨︎ report
Scott Morrison scrambles to assert control as Dominic Perrottet opens the international border abc.net.au/news/2021-10-1…
πŸ‘︎ 152
πŸ’¬︎
πŸ‘€︎ u/ohAngus
πŸ“…︎ Oct 15 2021
🚨︎ report
Help with adding delay in Verilog

Beginner hereπŸ˜….

Can someone please explain how do I add delay to my synthesized program in Verilog because the # function cannot be synthesized. I looked up on the internet and found people suggesting to use counters and clocks but couldn't really grasp hold of it. Any help would be appreciated.

(I'm trying to get one of my interfaced peripherals to start after a delay of 3 seconds)

πŸ‘︎ 2
πŸ’¬︎
πŸ‘€︎ u/axps42
πŸ“…︎ Nov 24 2021
🚨︎ report
Is there an open source Verilog formatter like clang?

Does anyone know of a good open source program for formatting coding style in Verilog? Like clang for c++. I couldn't find any good equivalents for Verilog but thought there must be something out there.

πŸ‘︎ 7
πŸ’¬︎
πŸ‘€︎ u/bsdevlin99
πŸ“…︎ Feb 16 2017
🚨︎ report
Queensland will only open international borders to β€˜safe’ countries twitter.com/MartySilkHack…
πŸ‘︎ 35
πŸ’¬︎
πŸ‘€︎ u/quinkana_farmer
πŸ“…︎ Nov 03 2021
🚨︎ report
Construction of the two main suspension towers of the Gordie Howe International Bridge in Detroit, Michigan, USA and Windsor, Ontario, CA to complete a second span of the Detroit River, due to open in 2024.
πŸ‘︎ 84
πŸ’¬︎
πŸ‘€︎ u/LordSariel
πŸ“…︎ Nov 18 2021
🚨︎ report
Whether the international border is open or closed, one of my favourite British breakfasts is right here in Joondalup (Hutton's on Grand Boulevard)
πŸ‘︎ 146
πŸ’¬︎
πŸ‘€︎ u/dn56061
πŸ“…︎ Oct 16 2021
🚨︎ report
Tutorial: Numbers in Verilog

I’ve recently started a new blog series: Maths and Algorithms with FPGAs.

The first part is Numbers in Verilog.

It examines how integers are represented and digs into the challenges of signed numbers in Verilog. I’ve tried to balance rigour with simplicity. Do let me know if you have any suggestions or corrections.

πŸ‘︎ 37
πŸ’¬︎
πŸ‘€︎ u/WillFlux
πŸ“…︎ Oct 26 2021
🚨︎ report
How to get better at design (Verilog)? SystemVerilog, design patterns, more projects?

I'm looking for suggestions on what to do next? What I've covered so far is some projects and systems with FSM and decent test benches.

I think reading an advanced book about design would help me a lot; in which the author would probably discuss certain design issues and scalability etc and its Verilog code. Most of the books I've found cover the same basics and I think I'm no longer a beginner. Do you have any suggestions on that?

or Should I learn SystemVerilog as a progression to Verilog?

Also, I've read this q&a on SE about design patterns or "models of good practices" in HW design, but it's 10 years old, what should I look into? https://electronics.stackexchange.com/questions/14133/is-there-a-design-patterns-for-synthesizable-rtl

Or should I learn & do advanced projects to get to an intermediate or advanced level? please suggest some, the type that forces me to think about better design.

This question might be repeated as I feel it's somewhat generic; please, feel free to throw in some links, that'd be much appreciated. I'll read them.

Thank you

πŸ‘︎ 21
πŸ’¬︎
πŸ‘€︎ u/0xDigitalTailor
πŸ“…︎ Sep 23 2021
🚨︎ report
Heart of Midlothian and Dundee United are interested in striker Lawrence Shankland, with Beerschot β€˜open to offers’ for the Scotland international scotsman.com/sport/footba…
πŸ‘︎ 15
πŸ’¬︎
πŸ‘€︎ u/ElKaddouriCSC
πŸ“…︎ Jan 10 2022
🚨︎ report
Cascade -- Open-Source Just-In-Time Compiler for Verilog github.com/vmware/cascade
πŸ‘︎ 12
πŸ’¬︎
πŸ“…︎ Nov 12 2018
🚨︎ report
Taiwan’s Entertainment Sector Leverages Creative Freedoms as Mainland China Walls Itself Off - While China becomes increasingly reclusive and unwelcoming of Western content, Taiwan has thrown the door open to international co-productions with new incentive schemes. hollywoodreporter.com/bus…
πŸ‘︎ 223
πŸ’¬︎
πŸ‘€︎ u/chanma50
πŸ“…︎ Nov 02 2021
🚨︎ report
NVIDIA Deep Learning Accelerator - Open source Verilog Deep Learning Accelerator nvdla.org/
πŸ‘︎ 23
πŸ’¬︎
πŸ‘€︎ u/Zagitta
πŸ“…︎ Sep 26 2017
🚨︎ report
Need someone who knows verilog to write an engineering report for me due tomorrow.
πŸ‘︎ 2
πŸ’¬︎
πŸ“…︎ Nov 21 2021
🚨︎ report
Open Source IDE for FPGAs as QtCreator Learns Verilog hackaday.com/2018/12/29/o…
πŸ‘︎ 2
πŸ’¬︎
πŸ‘€︎ u/hackadaybot
πŸ“…︎ Dec 29 2018
🚨︎ report
New International District library set to open next year kob.com/albuquerque-news/…
πŸ‘︎ 144
πŸ’¬︎
πŸ‘€︎ u/boxermansr
πŸ“…︎ Oct 26 2021
🚨︎ report

Please note that this site uses cookies to personalise content and adverts, to provide social media features, and to analyse web traffic. Click here for more information.